intel-accelerated-ribbonfet.jpg

Intel’s first foundry customers include Qualcomm and AWS

Intel’s new RibbonFET technology, the company’s implementation of a gate-all-around transistor, is displayed as part of the “Intel Accelerated” event on July 26, 2021. At the event, Intel presented the company’s future process and packaging technology roadmaps.

Intel Corporation

As Intel launches its new foundry business, its first customers include Qualcomm and Amazon Web Services, the chipmaker said Monday. Intel also shared the process and packaging technology roadmap that will take its product development through 2025, detailing new innovations and a new naming structure for its process nodes. 

“Building on Intel’s unquestioned leadership in advanced packaging, we are accelerating our innovation roadmap to ensure we are on a clear path to process performance leadership by 2025,” Intel CEO Pat Gelsinger said during a webcast Monday. “The innovations unveiled today will not only enable Intel’s product roadmap; they will also be critical for our foundry customers.”

Qualcomm will be using Intel’s upcoming 20A process technology, which is expected to ramp in 2024. Intel 20A will rely on two new technologies, RibbonFET and PowerVia. RibbonFET is Intel’s first new transistor architecture since FinFET in 2011. It delivers faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint. PowerVia is Intel’s industry-first implementation of backside power delivery, which optimizes signal transmission by eliminating the need for power routing on the front side of the wafer. 

AWS, meanwhile, will be the first customer to use Intel Foundry Services’ packaging solutions. 

Back in March, Gelsinger shared his plan to make Intel a “world-class foundry business.” The company’s new manufacturing plan, called IDM 2.0, will make Intel once again a leader in process technology, he said. 

Below is more information on Intel’s roadmap, including its new node names and innovations. Intel is adopting a new node naming structure, the company said, since the traditional nanometer-based process node naming hasn’t matched the actual gate-length metric since 1997. 

Intel 7 delivers an approximately 10% to 15% performance-per-watt increase versus Intel 10nm SuperFin, based on FinFET transistor optimizations. Intel 7 will be featured in products such as Alder Lake for client in 2021 and Sapphire Rapids for the data center, which is expected to be in production in the first quarter of 2022. 
Intel 4 embraces EUV lithography to print small features using ultra-short wavelength light. With an approximately 20% performance-per-watt increase, along with area improvements, Intel 4 will be ready for production in the second half of 2022 for products shipping in 2023, including Meteor Lake for client and Granite Rapids for the data center.
Intel 3 leverages further FinFET optimizations and increased EUV to deliver an approximately 18% performance-per-watt increase over Intel 4, along with additional area improvements. Intel 3 will be ready to begin manufacturing products in the second half of 2023.
Intel 20A is expected to ramp in 2024.
2025 and Beyond: Beyond Intel 20A, Intel 18A is already in development for early 2025 with refinements to RibbonFET. Intel is also working to build High Numerical Aperture (High NA) EUV. The company says it’s positioned to receive the first High NA EUV production tool in the industry.

Access the original article